DOC PREVIEW
Berkeley COMPSCI 150 - Logic Analyzers

This preview shows page 1-2-3-25-26-27 out of 27 pages.

Save
View full document
View full document
Premium Document
Do you want full access? Go Premium and unlock all 27 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 27 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 27 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 27 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 27 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 27 pages.
Access to all documents
Download any document
Ad free experience
Premium Document
Do you want full access? Go Premium and unlock all 27 pages.
Access to all documents
Download any document
Ad free experience

Unformatted text preview:

Logic AnalyzersTodayLab #3 Solution (1)Lab #3 Solution (2)Lab #3 Solution (3)Lab #3 Solution (4)Synplify Warnings (1)Synplify Warnings (2)Synplify Warnings (3)Synplify Warnings (4)Synplify Warnings (5)Synplify ErrorsDebugging Hardware (1)Debugging Hardware (2)Debugging Hardware (3)Administrative InfoLab #5: Logic Analysis (1)Lab #5: Logic Analysis (2)Lab #5: Logic Analysis (3)Lab #5: Logic Analysis (4)The Logic Analyzer (1)The Logic Analyzer (2)ChipScope (1)ChipScope (2)ChipScope (3)ChipScope (4)Slide 272/22/2008 EECS150 Lab Lecture #5 1Logic AnalyzersEECS150 Spring 2008 – Lab Lecture #5Ke Xu2/22/2008 EECS150 Lab Lecture #5 2TodayLab #3 SolutionSynplify WarningsDebugging HardwareAdministrative InfoLogic AnalyzerChipScopeChipScope Demo – Not on webcast!2/22/2008 EECS150 Lab Lecture #5 3Lab #3 Solution (1)Simple SolutionUse the standard 2 (or 3) block FSM format1. Always @ (posedge Clock) block that instantiates the register that contains state.2. Combinational logic block that responds to inputs and state changes by updating nextState wire and outputs.3. Optionally, block that updates outputs.2/22/2008 EECS150 Lab Lecture #5 4Lab #3 Solution (2)Cleaning Up Your Verilog FSM Codealways @ (ps) begin case (ps) STATE_Init: begin Open = 1’b0; Prog1 = 1’b0; Prog2 = 1’b0; Error = 1’b0; if (Decode1 & Enter) ns = STATE_Ok1; else if (~Decode1 & Enter) ns = STATE_Bad1; end ... STATE_Ok2: begin Open = 1’b1; Prog1 = 1’b0; Prog2 = 1’b0; Error = 1’b0; ...2/22/2008 EECS150 Lab Lecture #5 5Lab #3 Solution (3)always @ (ps) begin Open = 1’b0; Prog1 = 1’b0; Prog2 = 1’b0; Error = 1’b0; case (ps) STATE_Init: begin if (Decode1 & Enter) ns = STATE_Ok1; else if (~Decode1 & Enter) ns = STATE_Bad1; end ... STATE_Ok2: begin Open = 1’b1; ...2/22/2008 EECS150 Lab Lecture #5 6Lab #3 Solution (4)How about using assign statements for outputs?always @ (ps) begin case (ps) STATE_Init: begin if (Decode1 & Enter) ns = STATE_Ok1; else if (~Decode1 & Enter) ns = STATE_Bad1; end ... STATE_Ok2: begin ... endcaseendassign Open = (ps == STATE_Ok2);assign Error = (ps == STATE_Bad2);...We can exploit the fact that outputs are strictly state-dependent (Moore)2/22/2008 EECS150 Lab Lecture #5 7Synplify Warnings (1)Why Bother?“@W” in the Synthesis Report (Errors are “@E”)Part of your project gradeMajor warnings will cost pointsKnowing these will make your life easierSaves debuggingAlways run synthesis before simulating in ModelSim!Incomplete Sensitivity ListModelSim will use the sensitivity listSynplify pretty much ignores it2/22/2008 EECS150 Lab Lecture #5 8input [15:0] A, B;output [31:0]Sum;output COut;// Adderalways @ (A){COut, Sum} = A + B;Synplify Warnings (2)input Clock;reg [31:0] Count;// Counteralways @ (posedge Clock)Count <= Count + 1;OK!Incomplete Sensitivityinput [15:0] A, B;output [31:0]Sum;output COut;// Adderalways @ (A or B){COut, Sum} = A + B;OK!2/22/2008 EECS150 Lab Lecture #5 9Synplify Warnings (3)Latch Generatedinput [1:0] select;input A, B, C;output Out;reg Out;// Muxalways @ (select or A or B or C) begincase (select)2’b00: Out = A;2’b01: Out = B;2’b10: Out = C;endcaseendinput [1:0] select;input A, B, C;output Out;reg Out;// Muxalways @ (select or A or B or C) begincase (select)2’b00: Out = A;2’b01: Out = B;2’b10: Out = C;default: Out = 1’bx;endcaseend2/22/2008 EECS150 Lab Lecture #5 10Synplify Warnings (4)Combinational LoopMust remove the loop or add a registerMultiple assignments to wire/regNothing should be assigned to in more than one place!000111223∞??∞??2/22/2008 EECS150 Lab Lecture #5 11Synplify Warnings (5)FPGA_TOP2 always has warningsUn-driven InputUnconnected OutputThese are truly unneeded pinsThings like the audio chips…Your modules should not have warnings2/22/2008 EECS150 Lab Lecture #5 12Synplify ErrorsYour design violates timing constraintsRight click on the Synthesize stepGo to propertiesUncheck Auto-constrainSet frequency to 27 (MHz)By default the software uses a 50% duty cycle and excessively restricts the delay of combinational logic.In the future you might still get errors, in which case you might need to pipeline or redesign logic.2/22/2008 EECS150 Lab Lecture #5 13Debugging Hardware (1)Debugging AlgorithmHypothesis: What’s broken?Control: Give it controlled test inputsExpected Output: What SHOULD it do?Observe: Did it work right?If it broke: THAT’S GREAT!If we can’t break anything like this then the project must be working…2/22/2008 EECS150 Lab Lecture #5 14Debugging Hardware (2)Using the logic analyzer / ChipScopeThe most reliable tool you haveWhen used properlyUse the triggers effectivelyTrigger on recurring sequencesTrigger on errorsAn unstable display is uselessCompare synthesis to simulationChipScope is almost as good as simulation2/22/2008 EECS150 Lab Lecture #5 15Debugging Hardware (3)Before you change anythingUnderstand exactly what the problem isFind an efficient solutionEvaluate alternative solutionsAfter the changeFixes may make things worse sometimesMay uncover a second bugMay be an incorrect fixRepeat the debugging process2/22/2008 EECS150 Lab Lecture #5 16Administrative InfoLab/Project PartnersIf you don’t have a partner, stay after lab lecture and we’ll help you get partnered up.Remote access to Xilinx toolsUse Remote Desktop Connection to access kramnik.eecs.berkeley.edu.A link to the kramnik set-up guide is on the documents page.Also useful for transferring files to and from your U:\ drive.2/22/2008 EECS150 Lab Lecture #5 17Lab #5: Logic Analysis (1)Exhaustive FSM TestingVery similar to Part3 of Lab #4You’ll be mapping the whole FSMNo bubble-and-arc to start fromNo single stepTakes an input every cycle at 27MHzMuch too fast to see on the LEDsLogic Analyzer!2/22/2008 EECS150 Lab Lecture #5 18Lab #5: Logic Analysis (2)Logic AnalyzerHP54645D Mixed Signal OscilloscopeAnalog OscilloscopeDigital Logic AnalyzerGraphs Signals vs. TimeLike a timing diagramInvaluable for DebuggingThis is your


View Full Document

Berkeley COMPSCI 150 - Logic Analyzers

Documents in this Course
Lab 2

Lab 2

9 pages

Debugging

Debugging

28 pages

Lab 1

Lab 1

15 pages

Memory

Memory

13 pages

Lecture 7

Lecture 7

11 pages

SPDIF

SPDIF

18 pages

Memory

Memory

27 pages

Exam III

Exam III

15 pages

Quiz

Quiz

6 pages

Problem

Problem

3 pages

Memory

Memory

26 pages

Lab 1

Lab 1

9 pages

Memory

Memory

5 pages

Load more
Download Logic Analyzers
Our administrator received your request to download this document. We will send you the file to your email shortly.
Loading Unlocking...
Login

Join to view Logic Analyzers and access 3M+ class-specific study document.

or
We will never post anything without your permission.
Don't have an account?
Sign Up

Join to view Logic Analyzers 2 2 and access 3M+ class-specific study document.

or

By creating an account you agree to our Privacy Policy and Terms Of Use

Already a member?