DOC PREVIEW
Semiconductor Industry

This preview shows page 1-2-3-4-5 out of 14 pages.

Save
View full document
View full document
Premium Document
Do you want full access? Go Premium and unlock all 14 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 14 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 14 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 14 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 14 pages.
Access to all documents
Download any document
Ad free experience
Premium Document
Do you want full access? Go Premium and unlock all 14 pages.
Access to all documents
Download any document
Ad free experience

Unformatted text preview:

1Achieving Zero Liquid Discharge in the Semiconductor Industry Byron, Makini Department of Earth and Environmental Engineering Columbia University New York, NY 10025 Course: Industrial Ecology E4001 Professor: Prof. N. Themelis Date: Fall ’052Executive Summary This report is an investigation into the implementation of water conservation techniques in the electronics industry. The electronics industry was chosen as a point of interest because of the ubiquity of its products, their importance as well as the relative ease for technological improvement in its methods. The electronics industry is dynamic and cutting edge. With fabrication plants turning over methods and processes at maximum every five years, the potential exists for continual improvement in efficiencies and waste reductions. Electronics have contributed significantly to the advancement and improvement of life around the world. However, the manufacturing of silicon wafers is a very resource intensive process. It requires ultra-clean conditions and large inputs of energy, water and capital. The manufacturing process is also very complex involving several hundred steps including wafer preparation, oxide film growth, photolithography and circuit patterning, acid etches and ion deposition. Between chemical steps, ultrapure water (UPW) is always used to remove any unwanted residues from the wafer surface. This can add up to as much as 2000 gallons of water for one 8” silicon wafer (Pacific 1999). In the face of an impending global water crisis as identified by the United Nations, the need exists for water conservation methods as an every day part of life. Thus it is important to find ways to sustain the industry in the years to come that will not put excessive weight on our natural resources. The purpose of this investigation is to assess if zero liquid discharge (ZLD) can be achieved as a solution for water conservation in the semiconductor industry. For the purpose of this investigation, ZLD is defined as the total elimination of liquid waste discharge from a plant. In place of disposal, internal waste could be recycled, reused or reduced to achieve zero output of liquid waste. While some researchers and industries support the concept of ZLD, there are also some who are skeptical about its potential. There are some industries that would claim that they have already achieved zero liquid waste output from their plants, while still others would balk at the suggestion. Based on published information, conclusions are difficult to draw. However, for the purposes of my project, I analyzed the advantages and disadvantages of ZLD based on economics as well as on engineering feasibility. I also looked at a case study where ZLD was attempted and identified where it either succeeded or failed for that company. Zero liquid discharge was found to be economically advantageous based on cost analysis data(Krishnan). The ability of industry to engineer an effective and sustainable process was however much more difficult to prove. In order to achieve zero liquid discharge, the concept of recycle, reuse and reduce must be implemented and achieved. Many designs have been put forward and I present a simplified model within my paper that is often used in industry. In the practical application of these models, water conservation rates are high and financial savings are huge but technology has not yet reached the stage where total liquid discharge can be economically eliminated from semiconductor industry. The energy input required to eliminate total liquid discharge far exceeds the cost of disposal and for an industrial business interested in bottom line returns, this may not be the best option for their sustainability. However, ZLD should be used as a goal in the semiconductor industry and all water conservation efforts geared toward that end.3Table of Contents 1 Introduction 4 1.1 The Semiconductor Manufacturing Process 4 1.2 The So-Called American Water Crisis? 5 2 Purpose of Investigation 6 3 Methods of Investigation 7 4 Results of Investigation 8 4.1 Case Study: Texas Instruments, Dallas TX 10 5 Analysis and Discussion of Results 12 6 Conclusions and Recommendations 13 7 References 14 List of Figures Figure 1 The photolithography and Etching steps 4 Figure 2 Map representation of drought effects in the United States 5 Figure 3 Cost analysis results for water treatment in California 8 Figure 4 Simplified Diagram of Zero Liquid Discharge Model 10 Figure 5 Bar Chart Showing Net Revenues against water use for Texas Instruments from 2000 -2004 12 List of Tables Table 1 Advantages and Disadvantages of Zero Liquid Discharge 8 Table 2 Water Quality Analysis of Municipal Source spent rinsewater 104Introduction In the past hundred years, technology has drastically improved the quality of human life in most parts of the world. However, by the same token, it has also increased our demand on natural resources as well as multiplied the amount of waste that is produced. One such industry is the electronics industry, in particular the semiconductor manufacturing industry. The birth of the semiconductor has revolutionized the way in which we live. Their impact ranges from research on the human genome project to the brewing of your morning cup of coffee. Semiconductors are also used in consumer electronics, telecommunications equipment and transportation equipment. In fact, any product that uses electricity and can be programmed or told what to do uses semiconductors. Although semiconductors have allowed for great advances in many diverse areas, they are not without costs. The Semiconductor Manufacturing Process The manufacturing process, illustrated in Fig. 1 requires ultraclean conditions and is very resource intensive. First, raw silicon wafers are prepared by the cutting and polishing of pure silicon ingots. Next a layer of oxide is deposited on top of silicon wafer in a thin film and this oxide is covered with a photoresist substrate. Patterns are imprinted through masks on this material using UV light that denatures the substrate and makes it soluble in water. The wafer is washed away with UPW, exposing the oxide layer underneath which is then etched using acids that leave bare silicon metal exposed. The silicon is then doped with ions to alter its chemical properties. These last four steps can be repeated as many as twenty times before one circuit


Semiconductor Industry

Download Semiconductor Industry
Our administrator received your request to download this document. We will send you the file to your email shortly.
Loading Unlocking...
Login

Join to view Semiconductor Industry and access 3M+ class-specific study document.

or
We will never post anything without your permission.
Don't have an account?
Sign Up

Join to view Semiconductor Industry 2 2 and access 3M+ class-specific study document.

or

By creating an account you agree to our Privacy Policy and Terms Of Use

Already a member?