DOC PREVIEW
MIT 6 111 - LABORATORY - 6.111

This preview shows page 1-2-3 out of 10 pages.

Save
View full document
View full document
Premium Document
Do you want full access? Go Premium and unlock all 10 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 10 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 10 pages.
Access to all documents
Download any document
Ad free experience
Premium Document
Do you want full access? Go Premium and unlock all 10 pages.
Access to all documents
Download any document
Ad free experience

Unformatted text preview:

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 ― Introductory Digital Systems Laboratory (Spring 2009) Laboratory 4 Check Off Sheet Student Name:__________________________________________________________________ 6.111 Staff Member Signature/Date:________________________________________________ Part A: VGA Interface You must show a TA the following for check off: • State transition diagram of your VGA interface ..........................................................................  • Verilog code for the VGA interface ..............................................................................................  • A “screenshot” of a Pong game: a border, ball, paddle, and MIT logo ........................................  Part B: Pong • State transition diagrams for your major‐minor FSMs ................................................................  • Design methodology, design partitioning, and testing ................................................................  • Your Pong game working correctly ...............................................................................................  Be able to respond to any of the following questions: • What is the advantage of the major‐minor FSM setup? .............................................................  • Explain briefly how VGA works .....................................................................................................  6.111 Spring Term 2009 Laboratory 4 Page 1 of 10 This page has been intentionally left blank. 6.111 Spring Term 2009 Laboratory 4 Page 2 of 10 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 ― Introductory Digital Systems Laboratory (Spring 2009) Laboratory 4 ― MIT Pong Issued: March 15, 2009 Part A Checkoff: recommended by March 30, 2009 or earlier (not graded) Part A and B Checkoff Due: April 2, 2009 by 10:00 PM Report Due: April 6, 2009 (4:30 PM in 39‐553) 1.0 Introduction The purpose of this lab is to become familiar with VGA, the display system of a typical PC. You will use a major‐minor FSM setup to build and debug a version of the classic video game, Pong (Figure 1). As with previous labs, you will use FSMs to control the system. By the end of the laboratory, you will be familiar with how VGA works, will be able to implement digital systems involving VGA, and will understand how to construct complex systems. We will use the Major/ Minor FSM concept described in lectur e. Figure 1. Screenshot of Pong 2.0 Procedure The laboratory consists of three phases. The first phase is the design phase. You should read through the lab and plan your design. It will be helpful to review your design with a member of the teachi ng staff. In particular, it would be helpful to read the documentation of how VGA works at the website: http://www‐mtl.mit.edu/Courses/6.111/labkit/vga.shtml 6.111 Spring Term 2009 Laboratory 4 Page 3 of 10 The second phase is to interface with the VGA. Your goal is to create a “screenshot” of a Pong game, which consists of the border, the paddle, the ball, and the MIT logo in the background. The third phase will build on top of that, where you will actually implement the game. You are asked to build the logic so the paddle and ball move in a similar fashion to those in Pong. Your design should be structured so that a top‐level FSM controls other minor FSMs which control the rest of your system. You will be required to turn in a detailed report of this laboratory. 3.0 Task Description Your first goal, Part A, is to create a still image of a Pong game in progress. You will learn how the VGA works and how to interface to VGA (you may use problem set 3, problem 4 to code the VGA interface). Ideally, once you finish Part A, all the details of the VGA interface will be abstracted away so you can supply information for your Part B logic with little concern about the display. One way to do this is to have a block that draws all of the components, and you input locations of the ball and paddle to that block. The only difference between Part A and Part B will be whether you input a fixed location (still‐image) or a dynamic location from Part B (game). Your ultimate goal is to create a one‐player version of Pong. The user will be able to control a paddle on the left side of the screen, and use the paddle to hit and direct a ball to the wall on the right side of the screen. The ball should be able to bounce freely off of the top and bottom borders, and should bounce off the wall back toward the left side, so the user may hit the ball again. Should the user miss the ball with the paddle, the ball will continue and freeze once it reaches the very edge of the left side, signaling the game is over. Your overall system should have several user inputs: 1) A reset button, which the user presses to restart the game, either in the middle of a game or when the game is over. 2) up and down buttons which the user hits to control the paddle. 3) A 2‐bit speed switch (2‐bits for x and 2‐bits for y) which controls the initial speed of the ball during gameplay. The speed sets the initial velocity of the ball in units of pixels per frame (both in the x and y directions) 4.0 System Organization A logical block diagram is shown in Figure 2. As mentioned earlier, you are to use a major‐minor FSM setup, though how to partition it is up to you. Unlike previous labs, we have intentionally left some details undefined to give you flexibility in your design. There are certain tradeoffs such as complexity, modularity, and system performance. Be ready to justify your partitioning in the lab report. Also note the user interface: a 2‐bi t switch to control the initial speed of the ball and the necessary buttons to allow the user to move paddles. 6.111 Spring Term 2009 Laboratory 4 Page 4 of 10 Figure 2. Block Diagram 5.0 VGA Output and Checkoff Part A of the lab is prop erly displaying to the VGA. Your objective is to produce a “screenshot” of the field. The field is 640x480, the ball is an 8x8 pixel square, and the pad dle is a 8x64


View Full Document

MIT 6 111 - LABORATORY - 6.111

Documents in this Course
Verilog

Verilog

21 pages

Video

Video

28 pages

Bass Hero

Bass Hero

17 pages

Deep 3D

Deep 3D

12 pages

SERPENT

SERPENT

8 pages

Vertex

Vertex

92 pages

Vertex

Vertex

4 pages

Snapshot

Snapshot

15 pages

Memories

Memories

42 pages

Deep3D

Deep3D

60 pages

Design

Design

2 pages

Frogger

Frogger

11 pages

SkiFree

SkiFree

81 pages

Vertex

Vertex

10 pages

EXPRESS

EXPRESS

2 pages

Labyrinth

Labyrinth

81 pages

Load more
Download LABORATORY - 6.111
Our administrator received your request to download this document. We will send you the file to your email shortly.
Loading Unlocking...
Login

Join to view LABORATORY - 6.111 and access 3M+ class-specific study document.

or
We will never post anything without your permission.
Don't have an account?
Sign Up

Join to view LABORATORY - 6.111 2 2 and access 3M+ class-specific study document.

or

By creating an account you agree to our Privacy Policy and Terms Of Use

Already a member?