Unformatted text preview:

What This Is and Is NotFPGA ProgrammingInstalling WebPACKGetting WebPACKInstalling WebPACKGetting XSTOOLsInstalling XSTOOLsGetting the Design ExamplesOur First DesignAn LED DecoderStarting WebPACK Project NavigatorDescribing Your Design With VHDLChecking the VHDL SyntaxFixing VHDL ErrorsSynthesizing the Logic circuitry for Your DesignImplementing the Logic Circuitry in the FPGAChecking the ImplementationAssigning Pins with ConstraintsViewing the ChipGenerating the BitstreamDownloading the BitstreamTesting the CircuitHierarchical DesignA Displayable CounterStarting a New DesignAdding a CounterTying Them TogetherConstraining the DesignSynthesizing and Implementing the DesignChecking the ImplementationChecking the TimingGenerating the BitstreamDownloading the BitstreamTesting the CircuitGoing Further…Introduction to WebPACK 8.1 Using Xilinx WebPACK Software to Create FPGA Designs for the XSA BoardRelease date: 5/16/2005© 2006 by XESS Corp. All XS-prefix product designations are trademarks of XESS Corp. All XC-prefix product designations are trademarks of XILINX. Introduction to WebPACK 8.1 – XSATable of Contents What This Is and Is Not .......................................................................1 FPGA Programming ............................................................................3 Installing WebPACK ............................................................................ 5 Getting WebPACK ...................................................................5 Installing WebPACK.................................................................7 Getting XSTOOLs ....................................................................7 Installing XSTOOLs.................................................................. 8 Getting the Design Examples................................................... 8 Our First Design...................................................................................9 An LED Decoder ......................................................................9 Starting WebPACK Project Navigator .................................... 11 Describing Your Design With VHDL....................................... 16 Checking the VHDL Syntax.................................................... 21 Fixing VHDL Errors ................................................................22 Synthesizing the Logic circuitry for Your Design....................25 Implementing the Logic Circuitry in the FPGA .......................26 Checking the Implementation................................................. 28 Assigning Pins with Constraints.............................................29 Viewing the Chip ....................................................................36 Generating the Bitstream .......................................................44 Downloading the Bitstream ....................................................49 Testing the Circuit ..................................................................52 Hierarchical Design............................................................................53 A Displayable Counter ...........................................................53 Starting a New Design ...........................................................54 Introduction to WebPACK 8.1 – XSAXESS Corporation - www.xess.com ©2006 by XESS Corp. Adding a Counter ...................................................................62 Tying Them Together............................................................. 68 Constraining the Design.........................................................87 Synthesizing and Implementing the Design ........................... 92 Checking the Implementation................................................. 93 Checking the Timing ..............................................................95 Generating the Bitstream .......................................................95 Downloading the Bitstream ..................................................101 Testing the Circuit ................................................................107 Going Further… ...............................................................................108 Introduction to WebPACK 8.1 – XSA ii0 What This Is and Is Not There are numerous requests on newgroups that go something like this: "I am new to using programmable logic like FPGAs and CPLDs. How do I start? Is there a tutorial and some free tools I can use to learn more?" XILINX has released their WebPACK on the web so that anyone can download a free set of tools for CPLD and FPGA-based logic designs. And XESS Corp. has written this tutorial that attempts to give you a gentle introduction to using the WebPACK tools. (Other programmable logic manufacturers have also released free toolsets. Someone else will have to write a tutorial for them.) This tutorial shows the use of the WebPACK tools on two simple design examples: 1) an LED decoder and 2) a counter, which displays its current value on a seven-segment LED. Along the way, you will see:  How to start an FPGA project.  How to target a design to a particular type of FPGA.  How to describe a logic circuit using VHDL and/or schematics.  How to detect and fix VHDL syntactical errors.  How to synthesize a netlist from a circuit description.  How to fit the netlist into an FPGA.  How to check device utilization and timing for an FPGA.  How to generate a bitstream for an FPGA.  How to download a bitstream into an FPGA.  How to test the programmed FPGA. That said, it is important to say what this tutorial will not teach you:  It will not teach you how to design logic with VHDL.  It will not teach you how to choose the best type of FPGA or CPLD for your design. Introduction to WebPACK 8.1 – XSAXESS Corporation - www.xess.com ©2006 by XESS Corp.  It will not teach you how to arrange your logic for the most efficient use of the resources in an FPGA.  It will not teach you what to do if your design doesn't fit in a particular FPGA.  It will not show you every feature of the WebPACK software and discuss how to set every option and property.  It will not show you how to use the variety of peripheral devices available on the XSA Boards. In short, this is just a tutorial to get you started using the XILINX WebPACK FPGA tools. After you go through this tutorial you should be able to move on to more advanced topics. Introduction to WebPACK 8.1 – XSA


View Full Document

UW-Madison PHYSICS 623 - Introduction to WebPACK 8.1

Download Introduction to WebPACK 8.1
Our administrator received your request to download this document. We will send you the file to your email shortly.
Loading Unlocking...
Login

Join to view Introduction to WebPACK 8.1 and access 3M+ class-specific study document.

or
We will never post anything without your permission.
Don't have an account?
Sign Up

Join to view Introduction to WebPACK 8.1 2 2 and access 3M+ class-specific study document.

or

By creating an account you agree to our Privacy Policy and Terms Of Use

Already a member?