DOC PREVIEW
Pitt CS 3150 - Dynamic Thermal Management through Task Scheduling

This preview shows page 1-2-3-4 out of 11 pages.

Save
View full document
View full document
Premium Document
Do you want full access? Go Premium and unlock all 11 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 11 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 11 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 11 pages.
Access to all documents
Download any document
Ad free experience
Premium Document
Do you want full access? Go Premium and unlock all 11 pages.
Access to all documents
Download any document
Ad free experience

Unformatted text preview:

Dynamic Thermal Management through Task Scheduling∗Jun Yang†Xiuyi Zhou†Marek Chrobak¶Youtao Zhang§Lingling Jin‡†Electrical and Computer Engineering§Computer ScienceUniversity of Pittsburgh, Pittsburgh PA 15261¶Computer ScienceUniversity of California, RiversideRiverside, CA 92521‡Nvidia CorporateSanta Clara, CA 95050AbstractThe evolution of microprocessors has been hindered by theirincreasing power consumption and the heat generation speedon-die. High temperature impairs the processor’s reliability andreduces its lifetime. While hardware level dynamic thermal man-agement (DTM) techniques, such as voltage and frequency scal-ing, can effectively lower the chip temperature when it surpassesthe thermal threshold, they inevitably come at the cost of perfor-mance degradation.We propose an OS level technique that performs thermal-aware job scheduling to reduce the number of thermal tres-passes. Our scheduler reduces the amount of hardware DTMsand achieves higher performance while keeping the temperaturelow. Our methods leverage the natural discrepancies in ther-mal behavior among different workloads, and schedule them tokeep the chip temperature below a given budget. We developa heuristic algorithm based on the observation that there is adifference in the resulting temperature when a hot and a cooljob are executed in a different order. To evaluate our schedul-ing algorithms, we developed a lightweight runtime temperaturemonitor to enable informed scheduling decisions. We have im-plemented our scheduling algorithm and the entire temperaturemonitoring framework in the Linux kernel. Our proposed sched-uler can remove 10.5-73.6% of the hardware DTMs in variouscombinations of workloads in a medium thermal environment.As a result, the CPU throughput was improved by up to 7.6%(4.1% on average) even under a severe thermal environment.1 IntroductionAs technology for microprocessors enters the nanometerregime, power density has become one of the major constraintsto attainable processor performance. High temperatures jeopar-dize the reliability of the chip and significantly impact its per-formance. The immense spatial and temporal variation of chiptemperature also creates great challenges to cooling and packag-ing which, for the sake of cost-effectiveness [43], are designedfor typical, not worst-case, thermal condition. This entails dy-namic thermal managements (DTM) to regulate chip tempera-ture at runtime.There have been plenty of researches on DTMs at the mi-croarchitecture level [6, 11, 16, 25, 28, 34, 35, 36]. Architec-ture solutions can respond to thermal crisis rapidly and reducethe chip temperature effectively through various performance re-duction mechanisms.Recently, a number of works have shown great potential inOS-assisted workload scheduling in addition to the hardwarelevel techniques [7, 10, 14, 22, 23, 31]. The main approach is toleverage the temperature variations between different jobs, andswap them at an appropriate time to control the chip temper-∗This work is supported in part by NSF grants CCF-0734339, CNS-0720595,OISE-0340752 and CCF-0641177.ature. This has been practiced in both CMPs [7, 10, 31] andsingle-core processors [14, 22, 23]. Our work continues this di-rection of research.We develop a heuristic scheduling algorithm to alleviate thethermal pressure of a processor. Our algorithm ThreshHot isbased on the observation that, given two jobs, one hot and onecool, executing the hot job before the cool one results in a lowerfinal temperature than after the reversed order. Thus, as long asexecuting the hot job itself does not violate the thermal thresh-old, the hot-cold order is better (or, at least, not worse) than thecold-hold order. Consequently, ThreshHot selects at each stepthe hottest job that does not exceed the thermal threshold.ThreshHot outperforms other scheduling algorithms such asthe one that changes the priority ranks of the hot and the cooljobs [22]. To know which job will be hot or cool for thehotspot, we develop a highly efficient on-line temperature esti-mator leveraging the performance counter based power estima-tion [19, 20, 22], compact thermal modeling [35], and a fast tem-perature solver [12]. We implemented the estimator for a Pen-tium 4 processor, although our general methodology is applica-ble to other processors such as CMPs. We calibrate and validatethe model parameters against real measurements on our proces-sor package. We also implemented our scheduling heuristics inthe Linux kernel, together with our temperature estimator, andwe tested the entire framework over the complete executions ofSPEC CPU2K benchmarks, mediabench, packetbench and net-bench. ThreshHot can remove up to 73.6% (34.5% on average)hardware DTMs in a medium thermal environment. With allthe context switching, temperature estimation, and the thermal-aware scheduling overheads considered, ThreshHot consistentlyimproves the performances of a mix of hot and cool programs byup to 7.2% (4.7% on average) compared to a base case with tra-ditional thermal-oblivious Linux task scheduling. Our schedul-ing algorithm targets only batch jobs and thus has unnoticeableimpact on interactive jobs and no impact on real-time applica-tions.The remainder of the paper is organized as follows. Section2 discusses previous related works. Section 3 elaborates on ourthermal-aware heuristic algorithm through mathematical deriva-tions. Section 4 explains how to obtain online power and thermalinformation for our scheduler to work properly. Section 5 intro-duces our modifications of the Linux kernel scheduler. Section6 compares our proposed scheduler with other alternatives. Sec-tion 7 reports the experimental results comparing ThreshHot tothree other algorithms. Section 8 concludes this paper.2 Prior WorkSome recent works have developed temperature control tech-niques for regular [32] and real-time [1, 2, 39, 40] workloads.The main approach is to dynamically adjust the CPU speedto minimize the peak temperature of the CPU, subject to theconstraint that all jobs finish by their deadlines. Similar ap-proaches can be used to minimize the energy consumption forreal-time systems [30, 41]. Temperature control through jobscheduling has also been utilized to enhance the reliability ofa processor [26]. In contrast, our objective is to maximize theperformance by scheduling the workloads to keep the temper-ature below a given threshold. Note that the threshold can bethe manufacturer-defined


View Full Document

Pitt CS 3150 - Dynamic Thermal Management through Task Scheduling

Documents in this Course
JouleSort

JouleSort

12 pages

Load more
Download Dynamic Thermal Management through Task Scheduling
Our administrator received your request to download this document. We will send you the file to your email shortly.
Loading Unlocking...
Login

Join to view Dynamic Thermal Management through Task Scheduling and access 3M+ class-specific study document.

or
We will never post anything without your permission.
Don't have an account?
Sign Up

Join to view Dynamic Thermal Management through Task Scheduling 2 2 and access 3M+ class-specific study document.

or

By creating an account you agree to our Privacy Policy and Terms Of Use

Already a member?