DOC PREVIEW
MIT 6 111 - Lab 1 Figures

This preview shows page 1-2-3 out of 8 pages.

Save
View full document
View full document
Premium Document
Do you want full access? Go Premium and unlock all 8 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 8 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 8 pages.
Access to all documents
Download any document
Ad free experience
Premium Document
Do you want full access? Go Premium and unlock all 8 pages.
Access to all documents
Download any document
Ad free experience

Unformatted text preview:

Slide 1Slide 2Slide 3Slide 4Slide 5Slide 6Slide 7Slide 8OUTIN12374LS0017814+5Gnd+5Figure 1: (a) Logic Level Measurement (Measure voltage at OUT node). (b) Power Supply Wiring.InOuttPDtfalltriseFigure 2: Timing Characteristics (10%, 50%, 90% marked).12 3 4561312 11 10Figure 3: Ring Oscillator (using a 74LS04).+51234568910111213GLITCH1.8432MHzXtal12 34+5Figure 4: Glitch Measurement Circuit (74LS00).CLK74LS3931A 1B 1C 1DCLK1CLK22A 2B2C 2DCLR1CLR21.8432MHzXtal1234121211 1098136543+5Figure 5: Clock and Ripple Counter.Qa QbQdQcPTLDCLRA BCDRCO74LS163+5Qa QbQdQcPTLDCLRA BCDRCO74LS1631.8432Mhz1234 5 679101112131415123 4 5 679101112131415+5Figure 6: Synchronous Counter WiringFigure 7: The first four digits on the seven-segment displayFigure 8: Block diagram for testing your decoding logicabcdefg7-segment


View Full Document

MIT 6 111 - Lab 1 Figures

Documents in this Course
Verilog

Verilog

21 pages

Video

Video

28 pages

Bass Hero

Bass Hero

17 pages

Deep 3D

Deep 3D

12 pages

SERPENT

SERPENT

8 pages

Vertex

Vertex

92 pages

Vertex

Vertex

4 pages

Snapshot

Snapshot

15 pages

Memories

Memories

42 pages

Deep3D

Deep3D

60 pages

Design

Design

2 pages

Frogger

Frogger

11 pages

SkiFree

SkiFree

81 pages

Vertex

Vertex

10 pages

EXPRESS

EXPRESS

2 pages

Labyrinth

Labyrinth

81 pages

Load more
Download Lab 1 Figures
Our administrator received your request to download this document. We will send you the file to your email shortly.
Loading Unlocking...
Login

Join to view Lab 1 Figures and access 3M+ class-specific study document.

or
We will never post anything without your permission.
Don't have an account?
Sign Up

Join to view Lab 1 Figures 2 2 and access 3M+ class-specific study document.

or

By creating an account you agree to our Privacy Policy and Terms Of Use

Already a member?