DOC PREVIEW
MIT 6 111 - Traffic Light Controller

This preview shows page 1-2-3 out of 10 pages.

Save
View full document
View full document
Premium Document
Do you want full access? Go Premium and unlock all 10 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 10 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 10 pages.
Access to all documents
Download any document
Ad free experience
Premium Document
Do you want full access? Go Premium and unlock all 10 pages.
Access to all documents
Download any document
Ad free experience

Unformatted text preview:

Figure 1: Diagram for intersection with corresponding lights.Table 1: Default Timing Parameters.Figure 2: Diagram for intersection with corresponding lights.1Massachusetts Institute of TechnologyDepartment of Electrical Engineering and Computer Science6.111 - Introductory Digital Systems LaboratoryLaboratory 2 Check Off SheetStudent Name:TA Signature/Date:Part 1: Traffic Light Controller Must Show to TA at beginning of Chekoff Be Able to Demonstrate Your Working Lab • What could happen if an input were not synchronized to the clock?• Describe your synchronizer module and why it is important.• Describe your walk request Register. • Describe your divider module.• What is the difference between a Moore and a Mealy machine?• Describe the design flow for your Traffic Light Controller.• FSM State Transition Diagram• Verilog Code Printout• You will be first asked to demonstrate regular operation with default values• You will be asked to reprogram your time values and continue operation• You will be asked to demonstrate functionality of Walk Request Register• You will be asked to demonstrate functionality of the side sensorBe Able to Respond to any of the Following Questions (and possibly others). You will likely be asked two questions from the following by a TA2Student Name:TA Signature/Date:Part 2: Memory Tester Must Show to TA at beginning of Chekoff Be Able to Demonstrate Your Working Lab • What are possible problems with the address or data glitching when the write on the memory is enabled? • Describe your timing for the memory interface.• Can you think of a faulty circuit connection between the memory and FPGA that will pass the test?• What are the limitations of the proposed simple memory test?• Block diagram of memory tester• Verilog code printout• From reset, demonstrate writing and reading from different locations• Demonstrate operation with the MSB of the DATA pin disconnected (D[3]) Be Able to Respond to any of the Following Questions (and possibly others). You will likely be asked two questions from the following by a TA3Massachusetts Institute of TechnologyDepartment of Electrical Engineering and Computer Science6.111 - Introductory Digital Systems LaboratoryLaboratory 2 Issued: February 18, 2004Checkoff Due: March 5, 2004Report Due in 38-107 by 11AM: March 8, 2004Part 1: Traffic Light ControllerIntroductionPart 1 of this lab is a traffic light controller that controls a main street, side street and walk lamps.You will be using a finite state machine to implement this controller. This lab provides you with adesign methodology that will be useful in future labs and final projects. This involves planningyour design, coding, wiring, and debugging your design.ProcedureThere are two major phases. The first is the design phase, which consists of reading through thelab, planning, and coming up with a design. Although not required, it is suggested that you sched-ule a conference with your TA to review your design. This will help catch any major mistakesearly in the process.The next phase is to implement the first part of the lab using the FPGA. After you verify the traf-fic light controller’s functionality, you can get checked off for part 1 of the lab. Be ready to dem-onstrate part 1 of the lab, and be ready to present solutions for the problems asked in the checklist.You will be required to write a detailed report (see guidelines for lab 2 report at the end).Traffic Light Controller DescriptionThe traffic light controller is for an intersection between a Main Street and a Side Street. Bothstreets have a red, yellow, and green signal light. Pedestrians have the option of pressing a walkbutton to turn all the traffic lights red and cause a single walk light to illuminate. Lastly, there is asensor on the Side Street which tells the controller if there are cars still on the Side Street. This issummarized in Figure 1.You may assume that the 4 walk buttons placed at each street corner are hooked into the trafficlight controller using a wired-OR. For this reason, you may assume that the controller only needsa single input called Walk-Request.4The side street sensor is placed near the intersection to tell the controller when there are cars pass-ing over the sensor. You may assume the sensor remains constantly high if several cars pass overthe sensor, rather than quick pulses, provided the cars are close enough together. You do not needto implement this specific functionality. This input is named Sensor.The traffic lights are timed on three parameters (in seconds), the base interval (tBASE), theextended interval (tEXT), and the yellow light interval (tYEL). The default values listed in Table 1are to be loaded into the FPGA on reset, and may be reprogrammed on demand using switchesand buttons on your kit with the Time_Parameter_Selector, Time_Value, and Reprogram signals.Time_Parameter_Selector uses the Parameter Number code to select the interval during program-ming. Time_Value is a 4-bit value representing the value to be programmed; therefore, it has aTable 1: Default Timing Parameters.Interval Name SymbolParameter NumberDefaultTime (s)Time Valu eBase Interval tBASE00 6 0110Extended Interval tEXT01 3 0011Yellow Interval tYEL10 2 0010Main StreetSideStreetWalk lampSide lightMain lightSensorWalk ButtonrmymgmwalkrsysgsFigure 1: Diagram for intersection with corresponding lights.5duration of seconds between 0 and 15. The Reprogram button tells the system to set the currentlyselected interval to Time_Value. The operating sequence of this intersection begins with the Main Street having a green light for 2lengths of tBASE seconds. Next, the Main lights turn to yellow for tYEL, and switches to the SideStreet green light. The Side street is green for tBASE, and its yellow is held for tYEL. Whenever astoplight is green or yellow, the other street’s stoplight is red. Under normal circumstances, thiscycle repeats continuously.There are two ways the controller can deviate from the typical loop. First, a walk button allowspedestrians to submit a walk request. This signal should set on a button press and the controllershould service the request after the Main street yellow light by turning all lights to red, and thewalk light to on. After a walk of tEXT seconds, the traffic lights


View Full Document

MIT 6 111 - Traffic Light Controller

Documents in this Course
Verilog

Verilog

21 pages

Video

Video

28 pages

Bass Hero

Bass Hero

17 pages

Deep 3D

Deep 3D

12 pages

SERPENT

SERPENT

8 pages

Vertex

Vertex

92 pages

Vertex

Vertex

4 pages

Snapshot

Snapshot

15 pages

Memories

Memories

42 pages

Deep3D

Deep3D

60 pages

Design

Design

2 pages

Frogger

Frogger

11 pages

SkiFree

SkiFree

81 pages

Vertex

Vertex

10 pages

EXPRESS

EXPRESS

2 pages

Labyrinth

Labyrinth

81 pages

Load more
Download Traffic Light Controller
Our administrator received your request to download this document. We will send you the file to your email shortly.
Loading Unlocking...
Login

Join to view Traffic Light Controller and access 3M+ class-specific study document.

or
We will never post anything without your permission.
Don't have an account?
Sign Up

Join to view Traffic Light Controller 2 2 and access 3M+ class-specific study document.

or

By creating an account you agree to our Privacy Policy and Terms Of Use

Already a member?