DOC PREVIEW
MASON ECE 645 - Lecture Slides

This preview shows page 1-2-3-4-5-33-34-35-36-67-68-69-70-71 out of 71 pages.

Save
View full document
View full document
Premium Document
Do you want full access? Go Premium and unlock all 71 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 71 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 71 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 71 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 71 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 71 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 71 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 71 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 71 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 71 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 71 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 71 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 71 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 71 pages.
Access to all documents
Download any document
Ad free experience
Premium Document
Do you want full access? Go Premium and unlock all 71 pages.
Access to all documents
Download any document
Ad free experience

Unformatted text preview:

Slide 1Slide 2Slide 3Slide 4Slide 5Slide 6Slide 7Slide 8Slide 9Slide 10Slide 11Slide 12Slide 13Slide 14Slide 15Slide 16Slide 17Slide 18Slide 19Slide 20Slide 21Slide 22Slide 23Slide 24Slide 25Slide 26Slide 27Slide 28Slide 29Slide 30Slide 31Slide 32Slide 33Slide 34Slide 35Levels of design descriptionRegister Transfer Logic (RTL) Design DescriptionSlide 38Slide 39Slide 40Slide 41Slide 42Slide 43Slide 44Slide 45Slide 46Slide 47Slide 48Slide 49Slide 50Slide 51Slide 52Slide 53Slide 54Slide 55Slide 56Slide 57FPGAs vs. MicroprocessorsSlide 59Slide 60Number of computations per second using the same chip areaCofactorization UnitSlide 63Learn to deal with approximationsSlide 65Consequences of bad approximationsSlide 67Slide 68Slide 69Slide 70Slide 71Kris GajOffice hours: Monday, 6:00-7:00 PM Tuesday, Thursday, 7:30-8:30 PM, and by appointmentResearch and teaching interests:• cryptography• computer arithmetic• VLSI design and testingContact:Science & Technology II, room 223 [email protected] (703) 993-1575ECE 645Part of:MS in EEMS in CpEDigital Systems Design – pre-approved courseOther concentration areas – elective course Certificate in VLSI Design/ManufacturingPhD in ITPhD in ECESpring 2007 Enrollment as of January 22, 2006MS in CpE7MS in EE4PhD in CS1Non-Degree4My general area of interest is…I want to specializeprimarily in…VLSIDigital Systems DesignASICs & FPGAsVHDL/VerilogCAD ToolsReconfigurable ComputingMicroelectronicsVLSI FabricationNanoelectronicsCAD tools & Design AutomationHardware description languagesFPGAs & Reconfigurable computingComputer arithmeticFront-end ASICdesign (algorithmic downtogate level)Back-end ASIC design (transistor downto devicelevel)Analog & Mixed Circuit DesignVLSI FabricationMicro- and NanoelectronicsSemiconductor DevicesMS CpEDigital Systems DesignMS EEMicroelectronicsRecommendeddegree &concentrationalgorithmicregister-transfergatetransistorlayoutdevicesComputerArithmeticIntroduction to VHDLDigitalIntegratedCircuitsMixedSignals VLSIVLSI Test ConceptsECE545ECE645ECE 586ECE 699ECE682ECE684ECE 584SemiconductorDevice FundamentalsECE681VLSI Design AutomationMOS Device ElectronicsECE745ECE 699ULSIMicroelectronicsNano-electronicsECE 587AnalogIntegrated CircuitsCpEcore EEcoreMS CpE: DIGITAL SYSTEMS DESIGNConcentration advisors: Kris Gaj, Ken Hintz, David Hwang1. ECE 545 Introduction to VHDL– K. Gaj, D. Hwang, project, VHDL, Aldec/Synplicity/Xilinx and Synopsys Design Analyzer/PrimeTime2. ECE 645 Computer Arithmetic: HW and SW Implementation– K. Gaj, project, VHDL, Aldec/Synplicity/Xilinx and Synopsys Design Analyzer/PrimeTime3. ECE 681 VLSI Design Automation – T. Storey, project/lab, back-end design with Synopsys tools4. ECE 586 Digital Integrated Circuits – D. IoannouPrerequisitesPermission of the instructor, granted assuming that you knowVHDL or Verilog, High level programminglanguage(preferably C)ECE 545 Introduction to VHDLorCourse web pageECE web page  Courses  Course web pages  ECE 645http://teal.gmu.edu/courses/ECE645/index.htmComputer ArithmeticLecture ProjectProject 1 20 %Project 2 30 %Homework 10 %Midterm exam 1 (in class) 20 %Midterm exam 2 (take-home) 20 %Advanced digital circuit design course covering• addition and subtraction• multiplication• division and modular reduction• exponentiationEfficientIntegersunsigned and signedReal numbers• fixed point• single and double precision floating pointElementsof the Galoisfield GF(2n)• polynomial baseLecture topics (1)1. Applications of computer arithmetic algorithms2. Number representation• Unsigned Integers• Signed Integers• Fixed-point real numbers• Floating-point real numbers• Elements of the Galois Field GF(2n)INTRODUCTION1. Basic addition, subtraction, and counting2. Carry-lookahead, carry-select, and hybrid adders3. Adders based on Parallel Prefix NetworksADDITION AND SUBTRACTIONMULTIOPERAND ADDITION1. Carry-save adders2. Wallace and Dadda Trees3. Adding multiple signed numbersMULTIPLICATION1. Tree and array multipliers2. Sequential multipliers3. Multiplication of signed numbers and squaringDIVISION1. Basic restoring and non-restoring sequential dividers2. SRT and high-radix dividers3. Array dividersLONG INTEGER ARITHMETIC1. Modular Exponentiation2. Multi-Precision Arithmetic in SoftwareFLOATING POINT AND GALOIS FIELD ARITHMETIC1. Floating-point units2. Galois Field GF(2n) units• University of California, Santa Barbara, Behrooz Parhami, ECE252B: Computer Arithmetic. • University of Massachusetts, Amherst, Israel Koren, ECE666: Digital Computer Arithmetic • Lehigh University, Michael Schulte, ECE496: High-Speed Computer Arithmetic. • Worcester Polytechnic Institute, Berk Sunar, EE-579 V Computer Arithmetic Circuits.• Stanford University, Michael Flynn, EE486: Advanced Computer Arithmetic. • University of California, Davies, Vojin Oklobdzija, ECE278: Computer Arithmetic for Digital Implementation. Similar courses at other universitiesNew in this course• real-life project based on VHDL or Verilog HDL• operations in the Galois Field (with application in cryptography and communications)Possible topics for a Scholarly Paper or Research Projectfor the CpE & EE students Advanced Computer ArithmeticSquare rootExponential and logarithmic functionsTrigonometric functionsHyperbolic functionsFault-Tolerant ArithmeticLow-Power ArithmeticHigh-Throughput ArithmeticLiterature (1)Required textbook:Behrooz Parhami, Computer Arithmetic: Algorithms and Hardware Design, Oxford University Press, 2000. Milos D. Ercegovac and Tomas Lang Digital Arithmetic, Morgan Kaufmann Publishers, 2004.Isreal Koren, Computer Arithmetic Algorithms, 2nd edition, A. K. Peters, Natick, MA, 2002.Recommended textbooks:Literature (2)1. Sundar Rajan, Essential VHDL: RTL Synthesis Done Right, S & G Publishing, 1998.2. Volnei A. Pedroni, Circuit Design with VHDL, The MIT Press, 2004.VHDL books (used in ECE 545 in Fall 2005)Literature (3)Supplementary books: 1. E. E. Swartzlander, Jr., Computer Arithmetic, vols. I and II, IEEE Computer Society Press, 1990. 2. Alfred J. Menezes, Paul C. van Oorschot, and Scott A. Vanstone, Handbook of Applied Cryptology, Chapter 14, Efficient Implementation, CRC Press, Inc., 1998. 3. Christof Paar, Efficient VLSI Architectures for Bit Parallel Computation in Galois Fields, VDI Verlag, 1994.Literature (3)Proceedings of conferences ARITH - International


View Full Document

MASON ECE 645 - Lecture Slides

Documents in this Course
Load more
Download Lecture Slides
Our administrator received your request to download this document. We will send you the file to your email shortly.
Loading Unlocking...
Login

Join to view Lecture Slides and access 3M+ class-specific study document.

or
We will never post anything without your permission.
Don't have an account?
Sign Up

Join to view Lecture Slides 2 2 and access 3M+ class-specific study document.

or

By creating an account you agree to our Privacy Policy and Terms Of Use

Already a member?