DOC PREVIEW
SJSU EE 166 - ALU with CLA

This preview shows page 1-2-3-4-5 out of 16 pages.

Save
View full document
View full document
Premium Document
Do you want full access? Go Premium and unlock all 16 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 16 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 16 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 16 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 16 pages.
Access to all documents
Download any document
Ad free experience
Premium Document
Do you want full access? Go Premium and unlock all 16 pages.
Access to all documents
Download any document
Ad free experience

Unformatted text preview:

4-Bit Arithmetic Logic Unit (ALU) with Carry Look Ahead AdderAgendaAbstract4-bit ALU SpecificationsIntroductionALU OperationsDesign FlowsDesign Issues4 Bit ALU SchematicLayoutVerification- LVS CheckLogic SimulationPower SimulationLessons LearnedConclusionsAcknowledgements14-Bit Arithmetic Logic Unit (ALU)withCarry Look Ahead AdderKeli Wu Eng Boon ChongLi LiChun Sum YeungAdvisor: David ParentMay 20052Agenda•Abstract•Specifications•Introduction–ALU Operations–Design Flow–Design Issues•Schematics•Lessons Learned•Simulation Results•Conclusions3Abstract•Successfully designed a 4-bit ALU with Carry Look Ahead Adder by using Cadence CAD tools. The entire project is designed towards AMI06 (0.6 m) process specification. The design has successfully passed DRC and LVS, as well as met the desired 200MHz clock speed, power and area constraints.44-bit ALU SpecificationsThe designed ALU meets the following specifications:•Able to perform 4 Logic Operations and 8 Arithmetic Operations•Operating Frequency: 200MHz•Output DFF must be able to drive 30fF.5Introduction•An Arithmetic Logic Unit (ALU) is the fundamental unit of any computing system. It is portion of the digital computer hardware in which arithmetic and logic operations are performed •The ALU designed is able to handle two 4-bit inputs to produce a required output based on the output selector line.•The complete list of the possible outputs functions are as listed in the following tables.6ALU OperationsM = 0 LogicS1 S0 Co Function0 0 X AND0 1 X OR1 0 X XOR1 1 X XNORM = 1 ArithmeticalS1 S0 Co Functions0 0 0 A0 0 1 A + 10 1 0 A + B0 1 1 A + B + 11 0 0 A + B’1 0 1 A – B (A + B’ + 1)1 1 0 A’ + B1 1 1 B – A (B + A’ + 1)7Design Flows•Create Schematics and layouts for Nand, Nor, Xor, Carry Generators, Adder, flip-flop, and Mux in the Cadence tool.•Test the schematics logic of each modules by using NCVerilog.•Cascaded the above single bit parts to form 4-bit parts.•Assembled all the 4-bit parts together.•Run the DRC, extracted and LVS check to verify the design.•Analyzed the circuit power and timing by using Affirma.8Design Issues•Implementation of long Boolean expressions for Carry Generators. Breakdown logic level instead of using AOI.•21 logic levels, requires larger devices for faster propagation delay per logic level.•Transistors are sized to have the same dimensions so that they fit together nicely.• The compact layout requires careful consideration for signal routing.94 Bit ALU Schematic10Layout11Verification- LVS Check12Logic Simulation Input A: 1000 Input B: 1T0013Power Simulation• Power = 18.7 mW (Only the longest path, about 15% of the circuit, is switching.)14Lessons Learned•Don’t route in Poly•Fix the LVS Error•Use hierarchy approach•Optimize transistor size to meet specification.•Basic power routing.15Conclusions•Our project has 1176 transistors and 21 terminals.•The area of our design is approximately 390 m X 360m.•The power is approximately 131mW. •The circuit can operate up to 250MHz.16Acknowledgements •Thanks to professor David Parent for his guidance and help throughout the project.•Thanks to Cadence Design Systems for the VLSI


View Full Document

SJSU EE 166 - ALU with CLA

Download ALU with CLA
Our administrator received your request to download this document. We will send you the file to your email shortly.
Loading Unlocking...
Login

Join to view ALU with CLA and access 3M+ class-specific study document.

or
We will never post anything without your permission.
Don't have an account?
Sign Up

Join to view ALU with CLA 2 2 and access 3M+ class-specific study document.

or

By creating an account you agree to our Privacy Policy and Terms Of Use

Already a member?