DOC PREVIEW
MASON ECE 545 - Digital System Design with VHDL

This preview shows page 1-2-17-18-19-35-36 out of 36 pages.

Save
View full document
View full document
Premium Document
Do you want full access? Go Premium and unlock all 36 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 36 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 36 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 36 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 36 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 36 pages.
Access to all documents
Download any document
Ad free experience
View full document
Premium Document
Do you want full access? Go Premium and unlock all 36 pages.
Access to all documents
Download any document
Ad free experience
Premium Document
Do you want full access? Go Premium and unlock all 36 pages.
Access to all documents
Download any document
Ad free experience

Unformatted text preview:

Slide 1Slide 2Slide 3Slide 4Slide 5Slide 6Slide 7Slide 8Slide 9Slide 10Slide 11Slide 12Slide 13Cryptographic StandardsSlide 15NSA-developed Cryptographic StandardsCryptographic Standard ContestsSlide 18Slide 19Slide 20Slide 21Slide 22Slide 23Slide 24Slide 25Slide 26Slide 27Slide 28Slide 29Comparison of 8 Final Candidates Sorted by Minimum Area and Maximum Throughput/AreaConclusions from the Comparison of the eSTREAM Candidates in HardwareYour ProjectAll Projects - OrganizationHonor Code RulesCourse ObjectivesHands-On SessionsCourse web page: ECE 545Digital System Design with VHDLECE web page  Courses  Course web pages  ECE 545http://ece.gmu.edu/coursewebpages/ECE/ECE545/F09/Kris GajOffice hours: Monday, Tuesday, Wednesday 6:00-7:00 PM Research and teaching interests:• reconfigurable computing• computer arithmetic• cryptography• network securityContact:The Engineering Building, room 3225 [email protected] 545Part of:MS in Electrical EngineeringMS in Computer EngineeringDigital Systems DesignMicroprocessor and Embedded SystemsRequired course in two concentration areas:ElectiveElective course in the remaining concentration areasalgorithmicDesign levelregister-transfergatetransistorlayoutdevicesCoursesComputerArithmeticDigital SystemDesign with VHDLDigitalIntegratedCircuitsPhysicalVLSI DesignVLSI Test ConceptsECE545ECE645ECE 586ECE 680ECE682ECE684MOS Device ElectronicsECE 584SemiconductorDevice FundamentalsECE681VLSI Design for ASICsDIGITAL SYSTEMS DESIGNConcentration advisors: Kris Gaj, Ken Hintz1. ECE 545 Digital System Design with VHDL– K. Gaj, project, FPGA design with VHDL, Aldec/Synplicity/Xilinx2. ECE 645 Computer Arithmetic– K. Gaj, project, FPGA design with VHDL or Verilog, Aldec/Synplicity/Xilinx3. ECE 681 VLSI Design for ASICs– N. Klimavicz, project/lab, back-end ASIC design with Synopsys tools4. ECE 586 Digital Integrated Circuits – D. Ioannou, R. Mulpuri5. ECE 682 VLSI Test Concepts – T. StoreyGrading Scheme• Homework - 10%• Project - 40%• Midterm Exam- 20%• Final Exam - 30%Midterm exam 1 2 hours 30 minutes in class design-oriented open-books, open-notes practice exams will be available on the webThursday, October 21stTentative date:Final exam 2 hours 45 minutes in class design-oriented open-books, open-notes practice exams will be available on the webWednesday, December 16, 7:30-10:15pmDate:Hash Functionarbitrary lengthmessagehashfunctionhash valueh(m)hmfixed lengthIt is computationallyinfeasible to find suchm and m’ thath(m)=h(m’)Main Application: Digital SignatureSignatureDIGITALHANDWRITTENA6E3891F2939E38C745B25289896CA345BEF5349245CBA653448E349EA47Main Goals:• unique identification• proof of agreement to the contents of the documentMessageHash functionPublic keycipherAliceSignatureAlice’s private keyBobHash functionAlice’s public keyTypical Digital Signature SchemeHash value 1Hash value 2Hash valuePublic key cipheryesnoMessageSignatureHandwritten and Digital SignaturesCommon FeaturesHandwritten signatureDigital signature1. Unique2. Impossible to be forged3. Impossible to be denied by the author4. Easy to verify by an independent judge5. Easy to generateHandwritten and Digital SignaturesDifferencesHandwritten signatureDigital signature6. Associated physically with the document7. Almost identical for all documents8. Usually at the last page6. Can be stored and transmitted independently of the document7. Function of the document8. Covers the entire documentCryptographic StandardsSo how the cryptographic standards have been created so far?NSANational Security Agency(also known as “No Such Agency” or “Never Say Anything”)Created in 1952 by president Truman Goals:• designing strong ciphers (to protect U.S. communications)• breaking ciphers (to listen to non-U.S. communications)Budget and number of employees kept secretLargest employer of mathematicians in the worldLarger purchaser of computer hardwareNSA-developed Cryptographic Standardstime19701980199020002010DES – Data Encryption Standard19771999Triple DESSHA-1–Secure Hash AlgorithmSHA-2Block CiphersHash Functions1995 20031993SHA-02005Cryptographic Standard Conteststime96 97 98 99 00 01 02 03 04 05 06 07 08 09 10 11 12AESNESSIECRYPTRECeSTREAMSHA-334 stream ciphers  4 SW+4 HW winners51 hash functions  1 winner15 block ciphers  1 winnerIX.1997 X.2000I.2000 XII.2002V.2008X.2007XII.2012XI.2004Criteria used to evaluate cryptographictransformationsSecuritySoftwareEfficiency HardwareEfficiency FlexibilitySoftware or hardware?SOFTWAREHARDWAREsecurity of dataduring transmissionflexibility(new cryptoalgorithms,protection against new attacks)speedrandom keygenerationaccess controlto keystamper resistancelow costresistance toside-channel attacksMemoryPower consumptionPrimary efficiency indicatorsSoftwareHardwareSpeedMemorySpeedAreaEfficiency parametersLatencyThroughput = SpeedEncryption/decryptionTime to encrypt/decrypt a single block of dataMiCiNumber of bits encrypted/decryptedin a unit of timeEncryption/decryptionMiMi+1Mi+2CiCi+1Ci+2Throughput =Block_size · Number_of_blocks_processed_simultaneouslyLatencyAdvanced Encryption Standard (AES) Contest1997-200115 Candidates from USA, Canada, Belgium,France, Germany, Norway, UK, Israel,Korea, Japan, Australia, Costa RicaJune 1998August 1999October 20001 winner: RijndaelBelgium5 final candidatesMars, RC6, Rijndael, Serpent, TwofishRound 1Round 2SecuritySoftware efficiencyFlexibilitySecurityHardware efficiency050100150200250300350400450500SerpentRijndaelTwofishRC6MarsSpeed of the final AES candidates in Xilinx FPGAs Speed [Mbit/s]K.Gaj, P. Chodowiec, AES3, April, 20000102030405060708090100SerpentRijndaelTwofishRC6MarsSurvey filled by 167 participants of the Third AES Conference, April 2000# votesSerpentRijndaelTwofishRC6MarsResults of the NSA groupASICsSpeed [Mbit/s]60641401002003004005006007002021051035743117714361NSAASICGMUFPGAAES3, April, 2000051015202530SerpentRijndaelTwofishRC6MarsEfficiency in software: NIST-specified platform 128-bit key192-bit key256-bit key200 MHz Pentium Pro, Borland C++Speed [Mbits/s]SecurityComplexityHighAdequateSimpleComplexNIST Report: SecurityRijndaelMARSSerpentTwofishRC6AES Final Report, October 2000eSTREAM Stream Cipher Comparison• Part of the GMU Fall 2006 & Fall 2007 graduate courses ECE 545 Introduction to VHDL•


View Full Document

MASON ECE 545 - Digital System Design with VHDL

Documents in this Course
Sorting

Sorting

6 pages

Load more
Download Digital System Design with VHDL
Our administrator received your request to download this document. We will send you the file to your email shortly.
Loading Unlocking...
Login

Join to view Digital System Design with VHDL and access 3M+ class-specific study document.

or
We will never post anything without your permission.
Don't have an account?
Sign Up

Join to view Digital System Design with VHDL 2 2 and access 3M+ class-specific study document.

or

By creating an account you agree to our Privacy Policy and Terms Of Use

Already a member?